Welcome![Sign In][Sign Up]
Location:
Search - pwm sopc

Search list

[Other resourcesopc

Description: SOPC实验--自定义PWM组件:以带一个Avalon Slave 接口的PWM 组件为例,说明如何自定义组件。,一个Avalon Slave 接口可以有clk、chipselect、address、read、readdata、write 及writedata 等信号,但这些信号都不是必需的。 一、功能 我们要实现的PWM 组件具有以下功能: 1. PWM 的周期可改,用period 寄存器存储; 2. PWM 的占空比可改,用duty 寄存器存储。 二、Avalon Slave 接口信号的设计 1.Clk:为PWM 提供时钟; 2.Write:写信号,可以通过Avalon Slave 总线将period 和duty 值从Nios II 应用程序 传送到组件逻辑中。 3.Writedata:写数据。通过此数据线传送period 和duty 值。 4.Address:本例中有两个寄存器,因此可用一根地址线表示。 5.全局信号。本例中PWM 的输出用来驱动LED 灯显示,这个信号不属于Avalon 接 口信号。
Platform: | Size: 6848143 | Author: 黄龙 | Hits:

[Otheraltera_avalon_pwm

Description: Avalon altera pwm generator. Directly use in SOPC.
Platform: | Size: 1024 | Author: Davide Merlani | Hits:

[VHDL-FPGA-VerilogPWMnios

Description: niosPWM可以在SOPC builder中实现PWM功能的自定制,通过PWM口可实现对电机的调速。-niosPWM SOPC builder can achieve PWM function of customized, PWM through the mouth can be realized right motor speed control.
Platform: | Size: 26624 | Author: yzhuai | Hits:

[Other Embeded programCycloneII_Touch_Panel_MP3_Player

Description: 在SOPC平台上,开发得MP3源代码,包括mp3软解码,图形界面!还包括了TFT,PWM的IP!-In SOPC platform, the development of a MP3 source code, including mp3 soft decoding, graphical interface! Also includes a TFT, PWM s IP!
Platform: | Size: 1692672 | Author: lrt | Hits:

[VHDL-FPGA-VerilogAvalonPwm

Description: SOPC实验--Hello World实验:启动Quartus II软件,选择File→New Project Wizard,在出现的对话框中填写项目名称 2、 点击Finish,然后选择“是”。选择Assignments→Device,改写各项内容。Family改为CycloneII,根据实验板上的器件选择相应的器件,本实验选择EP2C5T144C8,点击对话框中的Device & Pin Options,在Configuration中,选项Use Configuration Device为EPCS1,选项Unused Pins为As inputs,tri-stated.-SOPC Experimental Hello World Experiment: Start Quartus II software, choose File → New Project Wizard, in the resulting dialog box fill in the name of 2 items, click Finish, and then select
Platform: | Size: 1463296 | Author: 黄龙 | Hits:

[VHDL-FPGA-Verilogsopc

Description: SOPC实验--自定义PWM组件:以带一个Avalon Slave 接口的PWM 组件为例,说明如何自定义组件。,一个Avalon Slave 接口可以有clk、chipselect、address、read、readdata、write 及writedata 等信号,但这些信号都不是必需的。 一、功能 我们要实现的PWM 组件具有以下功能: 1. PWM 的周期可改,用period 寄存器存储; 2. PWM 的占空比可改,用duty 寄存器存储。 二、Avalon Slave 接口信号的设计 1.Clk:为PWM 提供时钟; 2.Write:写信号,可以通过Avalon Slave 总线将period 和duty 值从Nios II 应用程序 传送到组件逻辑中。 3.Writedata:写数据。通过此数据线传送period 和duty 值。 4.Address:本例中有两个寄存器,因此可用一根地址线表示。 5.全局信号。本例中PWM 的输出用来驱动LED 灯显示,这个信号不属于Avalon 接 口信号。
Platform: | Size: 7165952 | Author: 黄龙 | Hits:

[Software EngineeringAB_PHASE_PWM_SOPC

Description: AB相编码器解码接口、PWM输出SOPC议案及其在运动控制卡和伺服驱动器中的应用-AB phase encoder decoder interface, PWM output SOPC motion and in motion control card and servo drive applications
Platform: | Size: 402432 | Author: 张贺 | Hits:

[VHDL-FPGA-VerilogSOPC_pwm_source

Description: 在SOPC下制作自定义部件(PWM发生器)的源程序,包括硬件描述HDL文件和驱动程序文件-Produced in the SOPC custom component (PWM generator) of the source, including hardware description HDL files and driver files
Platform: | Size: 266240 | Author: 路得 | Hits:

[VHDL-FPGA-Verilogcreate_new_component

Description: sopc 中,新建component。详细介绍了如何根据HDL代码生成黑盒的过程。-SOPC, the new component. Described in detail how the HDL code generation black-box process.
Platform: | Size: 4096 | Author: wangxue | Hits:

[VHDL-FPGA-Verilogpwm_avalon_interface

Description: 这是一个完整的pwm ip 核,可在sopc中实例化该核,下载即可用,绝对好使。-This is a complete nuclear pwm ip can be instantiated in SOPC in the nuclear, you can download, and absolutely so.
Platform: | Size: 18432 | Author: lizhiqiang | Hits:

[VHDL-FPGA-Verilogpwm_source

Description: Altera官网上关于SOPC中自定义组件(PWM)的实例,官网上现在没了。。可很多书上都在用-Altera in the official line on the SOPC custom component (PWM) of the examples are not the official line. . Can be a lot of books are in use. . .
Platform: | Size: 10240 | Author: lion | Hits:

[Otherzlg_avalon_lcd128_64

Description: 周立功 SOPC嵌入式系统实验教材 LCD12864驱动代码-SOPC Ligong week experimental materials embedded systems driven LCD12864 code
Platform: | Size: 19456 | Author: 周正波 | Hits:

[SCMPWM

Description: 自己编写的PWM模块,通过SOPC和NIOSII IDE软件控制,控制DE2开发板上的小灯忽明忽暗-I have written the PWM module, through the SOPC and NIOSII IDE software control, control DE2 development board忽明忽暗small lamp
Platform: | Size: 8068096 | Author: hebei | Hits:

[Communication-Mobilepwm-ip

Description: 关于如何在SOPC中加入自定制的IP,并以PWM波为例,一步一步的进行了设置并讲解-SOPC on how to add customized IP, and the PWM wave for example, carried out step by step set up and on
Platform: | Size: 1274880 | Author: hebei | Hits:

[VHDL-FPGA-Verilogpwm_hw

Description: sopc nios ii学习资料介绍niosii 开发自定义外设pwm的verilog源代码-Learning sopc nios ii information on the development of custom peripherals niosii the verilog source code pwm
Platform: | Size: 4096 | Author: 顾勇 | Hits:

[VHDL-FPGA-VerilogPWM

Description: verilog pwm to control servo motor on quartus, with microprocessor generated from sopc and connected with sram-verilog pwm to control servo motor on quartus
Platform: | Size: 21504 | Author: frankiecoco | Hits:

[Driver DevelopFPGA_SOPC_PWM

Description: 将此文件解压缩,会得到一个"ip"目录,将此目录放入你的项目中,就可以在sopc中import到一个叫pwm的组建了。解压缩还会得到一个C语言文件,它是与硬件配合的Nios2_C代码 -Extract this file will get an " ip" directory into this directory in your project, you can import into the sopc in the formation of a called pwm. Extract will get a C language file, which is the code and hardware co-ordination Nios2_C
Platform: | Size: 5120 | Author: 于艳超 | Hits:

[Embeded-SCM DevelopPWM

Description: sopc的制作ipcore,有需要的下载啊-sopc
Platform: | Size: 5120 | Author: 温建平 | Hits:

[VHDL-FPGA-VerilogPWM

Description: fpga下自定义PWM外设的代码,可直接自定义组件的方式加入到sopc的组件库-fpga PWM peripherals under the custom code, custom components can be directly added to the way the component library sopc
Platform: | Size: 1024 | Author: tzj | Hits:

[VHDL-FPGA-Verilogpwm

Description: 一个用AVALON总线控制的PWM模块,可以结合SOPC中的定制模块来使用,经过测试使用正确-With the AVALON bus control of a PWM module can be combined with SOPC custom module has been tested using the correct
Platform: | Size: 1024 | Author: bingo | Hits:
« 12 »

CodeBus www.codebus.net